深度丨全球芯片代工市场三巨头混战,谁赢?

方文三
为了与台积电竞争,除了将一部分相对成熟制程芯片委外代工,三星还在强化定制化程度较高的特殊制程,因为这部分客户的粘性较强,具备长期发展潜力,其中还不乏大客户。

本文来自AI芯天下,作者/方文三。

全球市场化中的竞争是条主线,谁也不甘心落后,这也是摩尔定律的神奇所在,它可以让企业冒着巨大投资的风险,义无反顾地去追随它。

因为按定律的精髓,谁踩空一步,有可能在竞争中出局,实际上也反映巨头们都试图通过实现差异化而掌控先机。

2345截图20220818151609.png

芯片代工三巨头的营收喜与悲

随着10月收尾,大多数半导体大厂的新一季度财报已经出炉。

台积电成为7—9月全球半导体的最大赢家。

台积电以202.3亿美元季度营收位列第一,这也是其季度营收首次突破200亿美元大关。

三星、英特尔等存储芯片及逻辑芯片制造大厂则业绩受到消费市场衰颓的影响,最新业绩并不尽如人意。

英特尔因为是IDM大厂,其代工业务只贡献了很小比例的收入。

这样对比来看,这也是台积电阶段性证明了纯晶圆代工模式的成功。

三星电子整体业绩表现不尽如人意,半导体业务尚可,虽然遭受存储市场恶化的重创。

但晶圆代工业务取得了[创纪录的成绩],营收和营业利润都是[史上最高]。

不过跟台积电比起来,三星半导体晶圆代工业务的盈利能力仍然差一大截。

台积电、三星半导体业务都是同比增长,英特尔却难续辉煌。

其所有业务的收入和净利润加在一起,还不及台积电单做代工业务赚得多。

2345截图20220818151609.png

竞争激烈但开始了合作态势

围绕先进制程、产能布局,全球芯片代工厂商台积电、三星、英特尔之间的竞争非常激烈。

不过,在晶圆代工业务方面,英特尔、三星、台积电原为竞争关系。

但是,英特尔首次打破了三家公司纯竞争的态势。

今年5月三星集团实际控制人李在镕以及英特尔公司首席执行官基辛格会面,两人探讨在半导体领域的合作方式。

其中包括下一代存储芯片、半导体代工生产、系统芯片,以及半导体制造工厂等。

台积电将以6nm制程拿下英特尔GPU代工订单。

三星身为全球最大存储器制造商,与英特尔本来就有高度合作关系。

而在系统芯片、PC、移动设备等双方也多有往来。

2345截图20220818151609.png

台积电:转向全球化布局但面临不确定因素

台积电是全球最大的半导体代工厂,市场份额占比高达55%。

从台积电的产能布局就可以发现:

中国台湾地区集中了台积电的大部分制造产能,共拥有4座12英寸晶圆厂、4座8英寸晶圆厂和一座6英寸晶圆厂,另有4座后段先进封测厂。

新规划的3nm工厂也计划在中国台湾地区建设。

这样的布局规划与半导体行业的集聚效应有着很大关系,可以有效降低制造成本、提高生产效率。

尽管目前台积电正在开启制造基地全球布局模式,2021年以来先后宣布在美国、日本新建晶圆厂,并有在德国建厂的消息传出。

然而,对于台积电来说,接受美国政府补贴,赴美建厂却未必是一个好的选择。

这种改变对台积电的影响将是最大的,作为全球分工体系最大的受益者,台积电不得不面临供应链分割导致的成本上升与标准体系分割导致的市场分化。

此外,台积电预计2023年先进制程,特别是7nm/6nm芯片的产能利用率会下降,幅度大概在10%—20%。

在这种情况下,该晶圆代工龙头考虑在相对成熟制程工艺上做文章,如加大相关产能,以及针对客户具体需求,进一步开发特殊制程,以保证公司的整体产能利用率。

2345截图20220818151609.png

三星:坚持先进制程技术领先的战略

在过去的三年里,三星一直专注于开发最先进制程节点,特别是5nm、4nm和3nm,争取首发,且争夺更多客户,以追赶台积电。

而原本计划下半年量产的台积电,由于大客户苹果对其初代3nm制程方案的性价比不满意,未能采用,从而使得该晶圆代工龙头至今仍未出货3nm制程芯片。

或许是受到了鼓舞,三星要将更多的资源和精力投入到先进制程工艺的研发和量产上,以进一步提升对抗台积电的能力。

2345截图20220818151609.png

今年5月,三星副会长李在镕宣布未来5年将在芯片、生物科技等领域投资3550亿美元;

之后又访问欧洲,目的在于试图从荷兰ASML处抢购更多EUV光刻机,以期在未来的先进工艺竞争中占得更大先机。

今年6月抢在台积电之前宣布量产3nm工艺,都是这一战略的重要体现。

率先量产3nm芯片,使得三星在追赶台积电的路上缓了一口气。

美国政府这种[选边站]的做法对三星既有战略是一个严重干扰。

对三星来说,在中国西安建有闪存芯片生产基地,12英寸晶圆月产能达到26.5万片,占三星闪存产能的42%。

为了与台积电竞争,除了将一部分相对成熟制程芯片委外代工,三星还在强化定制化程度较高的特殊制程,因为这部分客户的粘性较强,具备长期发展潜力,其中还不乏大客户。

三星考虑将旗下更多成熟制程芯片委外代工,除了已有的晶圆代工伙伴联电之外,还会新增世界先进和力积电为其代工芯片。

目前,三星System LSI事业部把包括智能手机应用处理器(AP)在内的先进制程芯片生产交由自家的晶圆代工部门进行;

同时把面板驱动IC和CIS传感器等能够用14nm这类较为成熟制程生产的芯片委托给联电制造。

英特尔:政策获利或将增加机会

英特尔是三巨头中唯一一家位于美国的尖端代工厂,它将从地缘政治纷争和政府投资中获益。

英特尔还通过《芯片法案》得到了美国政府的一些帮助,使其能够在俄亥俄州等地建立工厂。

获得受过教育的劳动力,它还与美国军事、航空航天和政府联盟(USMAG)达成了一项协议,帮助其芯片设计和生产采用最先进的工艺技术。

英特尔当前的主要挑战是半导体周期下行情况下的需求不足,公司的首要任务是在站稳现有市场的同时,拓展新的应用空间。

2345截图20220818151609.png

英特尔已将芯片代工作为重振芯片业务的一部分,另外还包括将现有芯片工厂现代化以及建设新工厂。

联发科此前一直都是台积电的客户,但是联发科大部分的高端芯片依然要使用台积电的先进工艺,这也是台积电对联发科与英特尔合作反应比较冷淡的原因。

今年英特尔代工业务日前取得了一个重要进展,联发科成为旗下IFS代工业务签约客户,将首发为联发科打造的16nm工艺,基于22nm FFL工艺改进而来。

英特尔正逐步涉足外部客户的芯片制造领域,也被称为铸造厂业务。

对于英特尔来说,此举既开辟了新的潜在收入来源,也是恢复过去几十年来在亚洲失去的芯片制造领域的技术优势的一种方式。

此外,英特尔继续把重点放在服务器芯片上,与台积电互争高低,但它目前也偏向为军事/航空应用开发先进节点芯片。

将再推一个节点的finFET,计划2024年在2纳米阶段换成纳米片。

2345截图20220818151609.png

结尾:

全球三足鼎立之势从专业化角度各有所长,英特尔的微处理器、三星的存储器及台积电的代工,它是个动态过程,此起彼伏。

三星是一家被市场低估的代工巨头,股票更具价值属性;台积电未来光明,更具成长属性;仍处转型阵痛期的英特尔,想要回到第一梯队仍有待时日。

虽然芯片巨头们业绩、投入欠佳,但凭借着强大的技术领先优势和高市场占有率,即便面对不明朗的市场未来,他们依旧可以保持一定的竞争力。

因此,未来的芯片之争将主要在台积电、三星和英特尔之间展开。

部分资料参考:半导体产业纵横:《半导体“黄金拍档”》,芯东西:《半导体“三巨头”:愁、愁、愁》,爱集微:《台积电、三星和英特尔代工谁更强?》,半导体行业观察:《“遍体鳞伤”的芯片巨头》

THEEND

最新评论(评论仅代表用户观点)

更多
暂无评论